Paper 2016/1093

Energy Optimization of Unrolled Block Ciphers using Combinational Checkpointing

Siva Nishok Dhanuskodi and Daniel Holcomb

Abstract

Energy consumption of block ciphers is critical in resource constrained devices. Unrolling has been explored in literature as a technique to increase efficiency by eliminating energy spent in loop control elements such as registers and multiplexers. However these savings are minimal and are offset by the increase in glitching power that comes with unrolling. We propose an efficient latch-based glitch filter for unrolled designs that reduces energy per encryption by an order of magnitude over a straightforward implementation, and by 28-32% over the best existing glitch filtering schemes. We explore the optimal number of glitch filters that should be used in order to minimize total energy, and provide estimates of the area cost. Partially unrolled designs also benefit from using our scheme with energies competitive to fully serialized implementations. We demonstrate our approach on the SIMON-128 and AES-256 block ciphers.

Metadata
Available format(s)
PDF
Category
Implementation
Publication info
Published elsewhere. RFIDsec 2016
Keywords
glitch powerglitch filterunrolled block ciphers
Contact author(s)
sdhanusk @ umass edu
History
2016-11-22: received
Short URL
https://ia.cr/2016/1093
License
Creative Commons Attribution
CC BY

BibTeX

@misc{cryptoeprint:2016/1093,
      author = {Siva Nishok Dhanuskodi and Daniel Holcomb},
      title = {Energy Optimization of Unrolled Block Ciphers using Combinational Checkpointing},
      howpublished = {Cryptology ePrint Archive, Paper 2016/1093},
      year = {2016},
      note = {\url{https://eprint.iacr.org/2016/1093}},
      url = {https://eprint.iacr.org/2016/1093}
}
Note: In order to protect the privacy of readers, eprint.iacr.org does not use cookies or embedded third party content.